逻辑电路的设计十篇-尊龙凯时最新

时间:2023-10-09 17:12:42

被举报文档标题:逻辑电路的设计

被举报文档地址:
验证码:

举报理由:
   (必填)
紧急删除:

 13882551937、13808266089 服务时间:8:00~21:00 承诺一小时内删除

逻辑电路的设计

逻辑电路的设计篇1

abstract: the world today is the world of electricity, is the era of digital, intelligent control. if there is no modern electronic technology, all intelligent control would be impossible. therefore, mastering design of combinational logic circuits is the basis of modern digital electronic technology.

关键词: 数字电路基础;逻辑门电路;3人表决器;学以致用

key words: digital circuit basis; logic gate; voter with 3 people; apply what they learn

中图分类号:g42文献标识码:a文章编号:1006-4311(2011)11-0298-01

0引言

“知之者不如好之者,好之者不如乐之者。”是我国古代教育思想家孔子说的一句话,这充分体现了学生是学习的主体,兴趣是最好的教育理念。

1教材分析

1.1 《数字电子技术》是一门理论性和实践性都很强的专业核心课程,而“组合逻辑电路”在教材的知识体系中处于中间地位,起着“承前启后”的作用。

1.2 《组合逻辑电路的设计》应该在学生学习了“数字电路基础” 、“逻辑门电路”、“组合逻辑电路分析”的基础上进行,既可以检验前面所学知识又可以延伸后续知识。教学重点:介绍组合逻辑电路的设计方法和步骤,使同学们能正确地设计出组合逻辑电路。 教学难点:引导学生设计出经济又实用的组合逻辑电路。

2教学目标

知识能力目标:使学生熟练掌握组合逻辑电路的设计方法及步骤,提高学生学以致用的能力。方法能力目标:培养学生认真学习、勇于探索的精神;启发学生举一反三、触类旁通的创新思维能力。社会能力目标:通过课堂的师生交流,生生交流,培养学生与人交流团结协作的能力。

3教学方法

3.1 教师的准备工作:熟悉教学大纲和课程标准;钻研教材写好教案;设计好教学过程,准备好课件、教学工具和实验器材等。

3.2 为了激发学生的兴趣,调动学生的积极性,教学过程中我主要采用“任务驱动法”来进行教学,结合学生特点,精心设计任务,引导学生分析任务探究新知,然后启发学生运用所学知识解决实际任务。中间配合使用“触类旁通的类比法”“生动活泼的讨论法”“科学直观的仿真法”“形象立体的动画演示法”来达到我们的教学目标。

4教学过程

教学过程共设计了:“温故知新”、“任务驱动”、探究新知、“巩固提高”、“学以致用”等教学环节,用时90―100分钟(两个课时)。

4.1 “温故知新”环节――采用教师提问、学生回答的互动方式来进行,共复习了三个知识点:(1)基本常用门的符号、表达式 、真值表及功能;(2)逻辑代数运算的基本定律及化简办法。这两个知识点是基础工具,学习了之后学生才可以用门电路来搭建一个个具有某种功能的数字电路。(3)接着提问2个问题:①何为组合逻辑电路的分析?②组合逻辑电路分析的一般步骤有哪几步?(要求学生用方框图板演示) 。然后用一道题来演练分析步骤,加深学生印象,并为新知识的教授做好铺垫。

4.2 “任务驱动”环节――引领学生进行思考:给出一个组合逻辑电路图,我们能分析出它的逻辑功能,那么给出一个逻辑命题,我们能否根据要求的逻辑功能设计出逻辑电路呢?如何设计一个三人表决器呢?学生根据课前预习情况会做出相应回答,即跟组合逻辑电路的分析过程相反,需要五步――相反顺序的五步。其实本次课的“设计”过程是上次课“分析”过程的逆过程,也是本次课的主题。 接下来教师用板书或者幻灯片导出教学重点。任务启动好之后,学生们根据提示的方法步骤,分析思考,分组交流,教师则巡回指导,一段时间后各小组就开始展示成果了。通过这个“生生交流”“师生交流”的过程,引导学生进行自主探究、合作学习,同时也巩固加深了教学重点内容的理解和运用。

4.3 “探究新知”环节――引导学生思考:如何设计出经济又实用的组合逻辑电路?第一次的设计是不是最完美的?如果用“与非门”来设计会有什么不同?两种方案设计的“三人表决器”逻辑电路哪种更好?为什么?学生们在经过逻辑代数的变换、运算、化简后很快又展示了第二种设计方案。

教师引领学生对比分析这两种设计方案,发现两种设计方案都只用了4个门电路,不同的是:方案1中的四个门,有3个是“与门”1个是“或门”,而方案2中的4个门都是“与非门”,对比之下门电路种类单一,相对好些。通过层层设疑,再次激发了学生的学习热情,突出了本节课的教学难点:在实际应用中,组合逻辑电路的设计多用“与非门”来实现,可以降低成本,避免不必要的人力、物力浪费。

任务1结束后,继续布置第2个任务,将任务1的只有1个输出量的设计任务,提升到3个,加大了工作量,提高了难度,目的是鼓励学生,开阔思路,创新思维,突破重点难点,也使枯燥、乏味的新课内容很流畅的就被“由浅入深”、“化难为易”了。最后教师用板书或幻灯片将重点、难点提炼出来,巩固所学,加深印象。

4.4 “巩固提高”环节――在同学们共同完成任务1,2之后,教师又给学生们设置了两个加强任务,要求学生独立完成,以此自行消化、吸收、巩固掌握本次课的知识点的目的。

4.5 “学以致用”环节――为了更好地让同学们理论联系实践设置实验任务,要求同学们画出任务1中用“与非”门设计的3人表决器的逻辑电路图并安装、测试电路的逻辑功能。为帮助学生完成实验任务,教师要准备相关的实验器材给同学们认识,在讲解了实验器材的原理、用途及安全、节能、环保等注意事项之后,让学生们自行制作电路并测试功能,完成实验任务。这一环节是结合了同学们将来的实际工作,让学生们学以致用体验成功、增强自信。

5结束语

教学过程中一定要以学生为主体,教师为主导进行“教学互动”;不断激发学生的求知欲和学习热情,让学生们在教学过程中体验成功、自我肯定、提升能力。

参考文献:

[1]张伟林.数字电子技术.

逻辑电路的设计篇2

关键词:可编程器件;计数器;数字电路;vhdl

中图分类号:tn47文献标识码:a

文章编号:1004-373x(2009)19-189-02

design of digital circuit based on programmable logic devices

liu caihong,chen xiuping

(northwest minorities university,lanzhou,730030,china)

abstract:the traditional design method of digital systems has fundamentally changed because of the emergence of programmable logic devices,it is necessary to introduce the design of digital circuit based on the programmable logic device.the realization methods of counter as examples,described two ways to achieve counter by schematic and hardware description language as input methods.the method of compiled simulation was described,and given the simulation results.the design of digital circuit based on the programmable logic device easier to understand and grasp by the use of familiar device.

keywords:programmable logic devices;counter;digital circuit;vhdl

0 引 言

可编程逻辑器件pld(programmable logic device)是一种数字电路,它可以由用户来进行编程和进行配置,利用它可以解决不同的逻辑设计问题。pld由基本逻辑门电路、触发器以及内部连接电路构成,利用软件和硬件(编程器)可以对其进行编程,从而实现特定的逻辑功能。可编程逻辑器件自20世纪70年代初期以来经历了从prom,pla,pal,gal到cpld和fpga的发展过程,在结构、工艺、集成度、功能、速度和灵活性方面都有很大的改进和提高[1]。

随着数字集成电路的不断更新和换代,特别是可编程逻辑器件的出现,使得传统的数字系统设计方法发生了根本的改变[2]。可编程逻辑器件的灵活性使得硬件系统设计师在实验室里用一台计算机、一套相应的eda软件和可编程逻辑芯片就可以完成数字系统设计与生产[3]。

1 max plus ⅱ简介

max plus ⅱ是一种与结构无关的全集成化设计环境,使设计者能对altera的各种cpld系列方便地进行设计输入、快速处理和器件编程。max plus ⅱ开发系统具有强大的处理能力和高度的灵活性,其主要优点:与结构无关、多平台、丰富的设计库、开放的界面、全集成化、支持多种硬件描述语言(hdl)等。

数字系统的设计采用自顶向下、由粗到细,逐步分解的设计方法,最顶层电路是指系统的整体要求,最下层是具体的逻辑电路的实现。自顶向下的设计方法将一个复杂的系统逐渐分解成若干功能模块,从而进行设计描述,并且应用eda 软件平台自动完成各功能模块的逻辑综合与优化,门级电路的布局,再下载到硬件中实现设计[4],具体设计过程如下。

1.1 设计输入

max plus ⅱ支持多种设计输入方式,如原理图输入、波形输入、文本输入和它们的混合输入。

1.2 设计处理

设计输入完后,用max plus ⅱ的编译器编译、查错、修改直到设计输入正确,同时将对输入文件进行逻辑简化、优化,最后生成一个编程文件,这是设计的核心环节。

1.3 设计检查

max plus ⅱ为设计者提供完善的检查方法设计仿真和定时分析,其目的是检验电路的逻辑功能是否正确,同时测试目标器件在最差情况下的时延,这一查错过程对于检验组合逻辑电路的竞争冒险和时序逻辑电路的时序、时延等至关重要。

1.4 器件编程

当电路设计、校验之后,max plus ⅱ的programmer 将编译器所生成的编译文件下载到具体的cpld器件中,即实现目标器件的物理编程[5]。

2 以计数器为例介绍具体的设计方法

计数器是非常常用的时序逻辑电路。计数器类型有多种,实现计数器的方法也有很多。可以买到大部分类型的中规模集成的计数器直接使用,也可以用触发器搭建符合要求的计数器。但是采用以上方法实现的计数器灵活性不够,不能随时进行修改,通用性差。这里介绍基于可编程逻辑器件的实现方法。

2.1 设计输入

采用原理图输入的思维方式比较适合一直采用传统设计方法人的使用。原理图输入如图1所示。

图1 原理输入图

采用硬件描述语言输入的方法对于没有传统设计方法经验的人更容易入门,修改起来也更方便。给出了一个可逆计数器的实现实例[6],程序的核心部分如下[7]:

process (clk)

variable cnt:integer range 0 to 255;

variable direction:integer;

begin

if(updown=′1′)then

direction:=1;

else

direction:=-1;

end if;

if(clk′event and clk=′1′)then

cnt:=cnt direction;

end if;

qd

end process;

2.2 设计处理

原理图或程序完成之后,选择好器件并进行引脚定义,然后编译优化得到编程文件的界面如图2所示[8]。

2.3 设计检查

编译结束后,建立波形文件进行仿真,注意波形文件需要先保存,保存文件名和源文件一致才能进行仿真[9]。结果如图3所示。

图2 编译优化得到编程文件的界面

图3 仿真结果

仿真结果达到设计目的,符合设计要求。这时可以把编译生成的*.pof文件下载到选定的器件使用。用以上方法实现的器件,修改起来非常方便,只需要修改程序重新编译下载即可,任何类型的计数器都可以在可编程逻辑器件实现。

3 结 语

随着电子技术的高速发展,cpld 和fpga 器件在集成度、功能和性能(速度及可靠性)方面已经能够满足大多数场合的使用要求。用cpld,fpga等大规模可编程逻辑器件取代传统的标准集成电路、接口电路和专用集成电路已成为技术发展的必然趋势。

可编程逻辑器件是逻辑器件家族中发展最快的一类器件,它出现使得产品开发周期缩短、现场灵活性好、开发风险变小,随着工艺、技术及市场的不断发展,pld产品的价格将越来越便宜、集成度越来越高、速度越来越快,再加上其设计开发采用符合国际标准的、功能强大的通用性eda工具,可编程逻辑器件的应用前景将愈来愈广阔[10]。

参考文献

[1]徐伟业,江冰,虔湘宾.cpld/fpga的发展与应用之比较[j].现代电子技术,2007,30(2):4-7.

[2]郑宝华.基于cpld的大屏幕扫描电路设计[j].现代电子技术,2008,31(24):17-19.

[3]赵延,葛利嘉,双涛.基于fpga的uart设计实现及其验证方法[j].现代电子技术,2008,31(17):162-164.

[4]王淑文.基于cpld的数字系统设计[j].现代电子技术,2007,30(12):184-186.

[5]杨晖,张凤言.大规模可编程逻辑器件与数字系统设计[m].北京:北京航空航天大学出版社,2001.

[6]潘松.vhld 实用教程[m].西安:西安电子科技大学出版社,2000.

[7]宋万杰.cpld技术及其应用[m].西安:西安电子科技大学出版社,2000.

[8]林明权.vhdl数字控制系统设计范例[m].北京:电子工业出版社,2003.

逻辑电路的设计篇3

【关键词】时序逻辑电路;原始状态;电路设计

1.引言

数字电子技术是自动化、电气、通信、电子等电类专业必须掌握的重要专业基础,是《数字电子技术》的核心内容、学习的重点和难点。而时序逻辑电路的设计是实践教学的重要内容。时序逻辑电路的分析和设计只有通过对它的研究与实践,才能真正具有设计数字电路的能力。目前同步时序逻辑电路的设计方法可按以下几个步骤进行:设计要求;原始状态图;最简状态图;状态分配;选定触发器类型,求出状态方程、驱动方程和输出方程;画逻辑电路图[1]-[3]。在数字电子技术的通用教材中,对时序逻辑电路设计方法的叙述不够具体,以至于时序逻辑电路设计实例的设计过程往往不够清晰,教学过程中学生难于理解和掌握。本文通过对具体设计实例的设计过程和步骤进行分析研究。提出强化原始状态确定在给定逻辑问题的逻辑抽象过程中的应用,使设计过程更加清晰易懂。本文通过对具体实例的设计分析,对时序逻辑电路设计的一般规律、原则、方法及步骤作了探讨和研究。

2.设计实例剖析

设计一个自动售饮料机的逻辑电路,它的投币口每次只能投入一枚五角或一元的硬币。投入一元五角钱硬币后机器自动给出一杯饮料;投以两元(两枚一元)硬币后,在给出饮料的同时找回一枚五角的硬币。

此设计实例是很多数字电子技术课程教材时序逻辑电路设计部分的设计实例,但其设计分析过程不甚清晰,学生在学习过程中理解困难,原因之一就是对原始状态的设定和分配没有阐述或阐述不清晰,如果通过逻辑分析和逻辑抽象首先确定电路的原始状态,那么后面的设计就会水到渠成。下面本文将从原始状态确定开始,从新对此设计实例进行设计剖析。

(1)确定原始状态

(2)原始状态化简

根据状态化简原则,首先寻找等价状态,在相同的输入下有相同的输出并转换到同一个次态的状态为等价状态。由表1-1可知,s0、s3和s4为等价状态,合并为s0状态。状态含义分别为:s0为初始状态,即等待新交易开始的状态;s1为投入一枚五角硬币后的状态;s2为投入满一元钱后的状态。从而得到化简后的状态装换表如表1-2所示。

(4)选定触发器并列状态及输出方程

3.总结

在时序逻辑电路的设计过程中,原始状态的分析和确定对于初学者来说非常重要,如果原始状态与系统的实际工作状态无法清晰地对应,后续所有的设计步骤都无从谈起,因此,无论对教或学的任何一个角度而言,在时序逻辑电路的设计的设计步骤中强化原始状态的确定都是十分重要的。本文通过对自动售货系统设计过程中原始状态的分析和确定,强化了对于给定逻辑问题的逻辑抽象过程中原始状态的重要性,使时序逻辑电路的设计思路更加清晰。另外,在设计过程中,考虑到了一元和五角同时投入的情况,即a、b同时为1时电路的工作情况,减少了系统在实际工作过程中进入混乱状态的几率,使整个逻辑系统更趋完善。

参考文献

[1]阎石.数字电子技术基础(5版)[m].北京:高等教育出版社,2006.

[2]夏路易.数字电子技术基础教程[m].北京:电子工业出版社,2009.

[3]秦曾煌.电工学简明教程[m].北京:高等教育出版社,2001.

作者简介:

逻辑电路的设计篇4

关键词:合理;稳定;效率;逻辑函数

继电控制线路的主要作用是为生产机械服务,是生产机械在生产过程中不可缺少的重要组成部分。在自动生产流水线上或机床控制方面很多都采用继电控制方式的电气控制线路,实际生产中,继电控制线路设计是否可靠、合理、稳定,直接影响着生产效率和生产效益。我们在从事维修电工实践教学和校企合作技能培训过程中,发现很多学生和学员,甚至是有不少实际生产经验丰富的一线电工,在如何设计出合理的继电控制电路方面都存在着较大的欠缺,这说明,具体有效的线路设计是学生和学员学习中的较大难题。

在继电控制线路设计中,不少设计人员采用的是经验设计法。此法在设计比较简单的控制线路时有较大优势,但在设计比较复杂的控制线路时显现出一定的局限性。第一,对设计者要求高,需要设计者熟悉工业系统中各种典型的控制线路和丰富的实践经验及设计技巧的积累;第二,设计效率低,在工程应用上不方便,要求设计人员在设计过程中要反复修改完善,通过不断试验论证来满足生产工艺要求,耗费大量的时间和精力;第三,设计方案不可靠、不经济,由于经验以感性认识为主,不具理性认识的系统性,经常造成所得的方案不合理、不经济现象出现。基于经验设计法在实际应用中的局限性,我们在多年的一体化教学及具体实践培训过程中,总结出采用逻辑函数设计方法进行继电控制线路的设计取得了较好的成效。

一、逻辑函数设计法应用基础

数字电路研究的是开关电路,电路中对应的两种状态是“开通”与“关断”,在逻辑函数中用二元常量“1”和“0”表示。而在继电控制线路中,控制电路主要是对受控电器进行开通、关断控制。相关交流接触器或继电器受电或失电引起触点“动断”或“动合”产生的电路逻辑状态的变化,元器件的动作状态类似于逻辑函数中的“1”和“0”的两种数字状态,故在继电控制线路的设计中可以引用数字电路中的逻辑函数的关系进行相关继电线路的设计。所谓继电线路的逻辑函数设计法,就是按照生产工艺的要求,利用逻辑代数的关系来分析设计继电控制线路。这种设计方法特别适用于较复杂的生产工艺所要求的自动生产或组合机床控制线路的设计。采用逻辑函数设计法得到的控制线路设计简要、经济、安全、稳定、可靠。在实际应用中应根据具体情况,尽可能减少所用器件数目和种类,这样可以使安装好的电路结构紧凑,达到工作可靠而且经济的目的,所得到的电路设计结果比经验设计法有明显的优点,能得到生产工艺所要求的最佳设计方案。

二、逻辑函数设计的基本原理及要求

逻辑函数的理论基础是逻辑代数,逻辑运算的三种基本形式为与(逻辑乘)、或(逻辑加)、非(逻辑反)。这三种关系的不同逻辑组合形式得到的表达式构成了继电线路逻辑电路图的基础。

逻辑电路图,是由若干个基本逻辑符号及它们之间的连线构成的图形。实际生产中,设计者往往将电气控制线路中元件触点的“开通”“关断”状态的变化作为逻辑变量。就整体而言,继电控制逻辑电路的输出量与输入量之间的关系是一种因果关系,故输出的状态与输入的变量可以用逻辑表达式来描述。

1.三种基本逻辑关系表达形式

(1)逻辑与关系。

(2)逻辑或关系。

当一件事件的几个条件中只要有一个条件得到满足,这件事就会发生。图1的b中使km得电的逻辑表达式为:km=sb1 sb2。

(3)逻辑非关系。

当一件事情的发生以其相反条件为依据,即二者之间是处于对应的逻辑关系。图1的c中km得电的逻辑表达式为:km=

实际电路中,一个继电控制电路,往往是由各种元器件不同的逻辑状态组合而成。

2.逻辑规定

(1)各种电磁元件的线圈通电状态的逻辑关系为“1”,失电时的逻辑关系为“0”。

(2)各种控制按钮、开关触头、接触器或继电元件的自身触点闭合的逻辑状态规定为“1”,各触点的断开逻辑状态规定为“0”。

(3)元件的动断触点的状态均用“非”状态表示,即。

(4)x开。

(5)x关。

能使继电器失电的关断信号,若此信号由“1”“0”转变使继电器关断,取原变量;若逻辑状态由“0”“1”转变,取其反变量;当使继电器失电的关断信号不止一个时,这些判断信号的逻辑关系是“或”关系。

三、逻辑函数设计法设计步骤及应用分析

现以一个企业生产应用实例进行说明:

1.生产工艺设计要求

某自动生产流水线有两台电机m1与m2,为实际操作方便要求能够实现两地控制,第一台电机m1先行启动,运行3分钟后,第二台电机m2自动启动运行;正常生产结束时,必须第二台电机m2要先停车,否则第一台电机不能停车,第二台电机m2停车3分钟后第一台电机m1自动停车,要求线路中有必要的电气保护环节。

2.继电控制线路逻辑设计步骤

在状态表中,所有器件在初始位都不得电,上图中状态转换信号包含主令信号与执行元件动作时自身辅助触头所引起的状态变化信号。实际生产中,可根据具体需要设置中间继电器为中间记忆单元,以确保设计出的继电控制线路既可行又安全。上表中,km1控制电机m1的通断状态,km2控制电机m2的通断状态。

(3)根据状态表列写出各元器件动作的逻辑表达式。

①km1的逻辑函数表达式。

(5)规范电路设计标准,完善电路设计功能。

根据以上步骤分析可知:在设计过程中,运用逻辑函数设计法,设计者就有一个比较系统的设计思路,大大提高线路的设计成效,从而缩短线路的设计时间;采用逻辑函数设计法,可简化继电控制线路,减少很多不必要的经济费用,同时还可以极大地提高线路运行的可靠性、安全性,在较复杂的生产工艺的继电控制线路上有较大的使用价值。

参考文献:

[1]陈伯时.电力拖动自动控制系统[m].北京:机械工业出版社,1997.

[2]阎石.数字电子技术基础[m].北京:高等教育出版社,2006.

逻辑电路的设计篇5

关键词: 组合逻辑电路;multisim ;仿真

0 引言

组合逻辑电路是指在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与电路以前状态无关,而与其他时间的状态无关。组合逻辑电路的分析和设计是数字电路中一个重要组成部分[1],对于初学者和大多数电子设计人员来说,掌握了一定的基本分析和设计方法后,设计出来的结果仍然不够理想,特别是经过实际焊接电路或在面包板上搭接电路,再用仪表和分析仪器来分析判断结果后,设计达不到要求,就要重新设计,反反复复不但费时费力,浪费材料,而且在搭接电路过程中由于焊接而带来的虚焊、漏焊等接触不良现象,可能引发一系列电路问题,这些都极大的消弱设计者的积极性。随着计算机技术的发展和电路设计仿真软件不断出现,运用电路设计仿真软件设计电路,是提高组合逻辑电路设计水平和设计能力的有效方法[2]。

multisim软件是加拿大interactive image technologies

公司(图像交互技术公司,简称iit公司)在1998年推出ewb5.0

(electronic workbench,称为“虚拟电子实验室”)的基础上推出的一款更高版本的电路设计与仿真软件[3-4]。将multisim软件应用于数字电路教学和科研中,可以使组合逻辑电路的分析和设计变得简洁、方便,有利于更好更快的达到组合逻辑电路的分析和设计的目的。

因此本文利用四输入表决电路设计来对比传统设计方法和multisim软件设计方法过程。

1 传统组合逻辑电路设计

传统组合逻辑电路设计一般步骤为:分析任务要求列出真值表,通过真值表求出逻辑表达式并根据器件化简,画出逻辑电路图,最后根据逻辑电路图构建实验电路验证结果。逻辑化简是组合逻辑设计的关键步骤之一,为了使电路简单、使用器件少,要求逻辑表达式尽可能简化。但是考虑电路选取器件、稳定可靠等要求,最简化设计往往不是最终的逻辑表达式[5]。下面就以设计四输入表决电路为例来分析组合逻辑电路设计的一般步骤过程。

2.1 四输入表决电路分析

现在有四输入表决电路如图1所示,这一电路具有怎样的功能呢?逻辑转换仪提供了八个输入和一个输出端,我们将待分析电路连到逻辑转换

仪的输入和输出端上,如图3所示,按下按钮①,可以将待分析电路转换为真值表,此时可以接着按下按钮②或③,将会把真值表转换为逻辑表达式。利用此方法在分析组合逻辑电路时,可以省去复杂的逻辑计算,使得分析复杂组合逻辑电路变得更加简单。

2.2 四输入表决电路设计

最后,需要验证逻辑电路设计,我们可以直接在multisim

逻辑电路的设计篇6

[关键词]:组合逻辑 时序逻辑 虚拟系统模型

一、前言

数字逻辑是数字电路逻辑设计的简称,是计算机及相关专业的一门必修的专业基础课,其主要内容是用数字电路进行数字系统逻辑设计,包括组合逻辑电路、时序逻辑电路及其混合逻辑电路的分析与设计技术。不管是什么样的电子数字计算机,无非是由具有各种逻辑功能的逻辑部件组成的,但在设计过程中一定需要进行各种单元电路和系统整体的实验和测试,以确保逻辑正确,时序无误,并且性能良好。

需要实验和测试,就需要相应的逻辑元器件来构建电路,还需要测量、测试及辅助分析用的仪器设备,当然也需要相应的电源、信号源等。如果一切都需要真材实料,毫无疑问是一般学习者无法解决的问题。因为学校的教学资源有限,即使是在校的大学生,实验室的资源也不可能满足其所有需求,对于校外的其他学习者就更加困难。因此,寻找一种接近实际的虚拟系统作为实现数字逻辑电路的分析与设计、实验和测试的工具,会对学习者有很大的帮助,只有通过理论与实践的结合,才可能加深学习印象,提高学习效果,减少设计错误,明确电路的设计性能。

proteus vsm(virtual system model,虚拟系统模型)正好可以满足学习者的这些需求,它是由英国labcenter electronics公司开发的一款eda软件,是目前世界上最先进、最完整的仿真平台。proteus软件具有多种元件库,其中的元器件大多均可直接用于实际电路的搭建,而且该软件提供了多种与实际仪器仪表用法相似的虚拟仪器设备,还有各种信号源,几乎可以完成各类数字逻辑电路的设计、测试和辅助分析工作。由于这些虚拟元器件、仪器设备和信号源可以随意取用,既不需要花钱购买,又接近实际实物的效果,而且不需要维护,不会因为学习者的误操作或使用不当而造成元器件和仪器设备的损坏,更不会影响到人身安全,而且仿真效果非常逼真。因此,不仅使任课老师可在课堂上以形象生动的仿真演示提高学生的学习兴趣,而且可引导学生将相应实验推广至课外的任何地点。学习者可根据自己的需要,自行设计实验项目,从系统提供的元件库中找到相应的虚拟元件,形成自己的实验电路,从系统提供的虚拟仪器中找出自己需要的仪器设备,从系统提供的信号源中随意取得所需使用的各种信号源,从而实现对所需电路进行设计、测试和分析,有效地解决学习过程中的各种疑难问题,提高自己的实际设计能力。

二、组合逻辑电路的分析与设计

组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路,对于一些常用的组合逻辑电路,许多ic芯片制造商已经提供了相应的集成电路芯片,即使是一些复杂的组合逻辑关系也可以通过常用ic芯片和一些辅助门电路组合而成。

1.组合逻辑电路的分析

所谓组合逻辑电路的分析,就是根据已知逻辑电路图,找出组合逻辑电路的输入与输出关系,确定在什么样的输入取值下对应的输出为1。当然,输入通常是多个逻辑量,而输出可以是1个或多个。

在对组合逻辑电路进行分析时,proteus vsm提供了很好的仿真手段,如图1(a)所示的组合电路,如果需要分析其输入与输出的逻辑关系,可以设计一些辅助电路如图1(b),通过三个开关的按下与弹起为电路的三个输入端a、b、c提供不同的输入组合,观察不同输入所对应的两个输出端的逻辑电平,可列出真值表如表1所示。图中所示的情况是:输入a、b、c的值是1、0、1,而输出f2的值是1,f1的值是0。

根据真值表可以写出逻辑表达式:

从公式1可见,f1是在奇数个输入为1时才输出为1,而f2是两个或三个输入为1时才输出1,这正是一位全加器的逻辑表达式。其中,f1为本位和,f2为向高位的进位。对以上逻辑表达式进行化简可得:

这样就与电路完全一致了。

2.组合逻辑电路的设计

组合逻辑电路的设计是组合逻辑电路分析的逆过程,即根据输入与输出的逻辑关系要求,设计出满足符合要求的组合逻辑电路。

常用的组合逻辑电路包括译码器、编码器、多路选择器、比较器、加法器等,这些电路通常都有多种型号的ic芯片可供选择。在实际应用中,组合逻辑电路的设计通常都采用常用的ic芯片来构建,必要时再配合一些辅助门电路,这样的设计简单可靠,设计方法可按常规方式进行,但对于设计出的组合逻辑电路是否正确,就必须通过实验测试才能进一步确认,有了proteus vsm,只需按设计电路图将所设计的电路在proteus的isis(intelligent schematic input system,智能原理图输入系统)中绘制出来,再配合一些辅助电路进行仿真,就可实现正确性的验证了。

比如,设计一个8位二进制加法器,可以用两片74ls283来实现,如图2(a)所示,具体电路的仿真结果如图2(b)所示,在图2(b)中两个8位二进数分别为:a=00001111b=0fh,b=10011111b=9fh,相加的结果通过两个数码管显示为aeh。用鼠标点击构成数据输入端的每一个lagicstate图标,就可以像改变图1(b)的输入开关状态一样,使每一位的值在0和1之间切换,从而实现对不同的两组8位二进制数据进行相加运算,并将相应结果通过led数码管显示出来。其实,即使不用led数码管,通过仿真电平的颜色显示也可判断其值(红颜色为1,蓝颜色为0)。比如,从图2(b)可见,其输出引脚8位的值,其从高位到低位的二进制数为1010 1110,所以显示的十六进制值为aeh。从u2的c4脚输出电平可见(用logic prob)显示,没有向高位进位。

三、时序逻辑的电路分析与设计

时序逻辑电路是由触发器和门电路组成的具有记忆功能的逻辑电路,对时序逻辑电路的分析与设计采用proteus vsm作为辅助手段,更能起到事半功倍的效果。

下面以设计一个六十进制计数器为例,简要介绍其分析与设计过程。首先已知常用ic中有bcd计数器功能的ic芯片,如74hc160是同步预置数,异步清0的十进制加法计数器,对于六十进制计数,只需用两片74hc160再配以少量辅助门电路即可完成。但在设计过程中,方式方法可以有多种,比如两个bcd计数之间的计数过程是同步还是异步、如何处理进位等。

以图3(a)为例,其计数过程就是异步方式,其十位的计数脉冲单纯由个位的进位提供。这种方式在仿真时,有可能出现错误。其原因有二:一是由于个位的进位rco经反相后给十位作为计数脉冲。刚开始工作时,由于个位的rco为0,所以经反相后为1,这就使得十位得到一个不应有的进位而产生了一个误计数,其仿真如图3(b)所示,还没有按过按钮时,其计数显示结果居然是10,显然这就是由于十位的误计数造成的;二是由于个位的进位rco=d3&d0&t,当计数值由0111(1000时,因电路中的竞争冒险可能存在短暂的d3=d0=1的时刻,也可能导致十位计数器误计数。因此需要对电路进行改进,将十位的计数脉冲改成同步方式,即计数脉冲同时供给个位和十位,而十位的计数使能信号由个位的rco输出提供,十位的回零装入信号load设置为个位的rco和十位的q2、q0三者的与非提供。改进后的电路如图4所示,在刚开始仿真时,计数结果显示为00,每按一次按钮,计数值加1,计到59时,再按一下按钮,则计数显示回零,这样就达到了预期的设计要求。

四、数字逻辑课程设计

课程设计是数字逻辑课程的一次综合应用实训,是对本课程的各个知识点的溶汇贯通,在以往的课程设计中,由于实验箱的数量有限,元器件也不可能满足所有课程设计者的要求,所以学生的课程设计大多停留在理论设计上,无法进行真实的正确性验证。通过引入proteus vsm后,可以满足所有愿意参与在该虚拟平台上进行课程设计的学生要求。在课程设计的验收过程中,十多个题目都有人参与,设计结果多种多样,但都可以保证在虚拟系统环境下的运行正确,学生的兴趣很大,设计完全可达到预定的要求。如图5所示为某个学生设计的数字时钟的仿真运行效果。

五、结语

逻辑电路的设计篇7

一、引言

实现顺序控制的电器控制线路的数学模型是一组逻辑关系表达式,其中逻辑变量代表控制触点,受控元件的电磁线圈为各触点的逻辑函数,逻辑函数值即对应受控元件的工作状态。在电器控制系统运行过程中,各元件及触点状态的变化,使逻辑运算结果随之改变,这种变化的过程实际就是电器控制线路的运行过程。

电器控制系统中元件与控制触点之间的逻辑关系是根据系统控制要求确定的,模拟控制线路的运行过程就是要按一定顺序解算控制系统的数学模型——逻辑代数方程组。在方程组中,以逻辑函数代表运算元件的电磁线圈,以逻辑变量代表元件触点。对同一电器元件来说,其线圈和触点的物理状态是互相关联的,可约定逻辑函数值为“1”时表示线圈得电,同名的原变量取值为“1”,表示动合触点闭合;反之,逻辑函数值为“0”时表示线圈得电,同名的原变量取值为“0”,动合触点断开。

二、电器控制线路模拟运行程序设计的主要思路

1. 表达式分析的基本原理

计算机高级程序设计语言编译系统中,通常配备有字符型变量,一个数学表达式可以以集中或分散的形式存储在这类变量中。将一个具有物理意义或数学意义的函数表达式转换为计算机能够执行的指令的过程,称为表达式句法分析。表达式的分析过程是按严格的代数规则进行的,因为电器控制线路的数学模型是逻辑代数方程,故模拟运行程序中表达式分析依据的即为逻辑代数运算规则。

“递归下降法”是比较常用的表达式句法分析方法,其基本过程就是将一个完整的表达式逐项分解,分解出的成分可以是变量、运算符或子表达式,当根据分解规则识别出被分解出来的某个成分为子表达式时,就要继续进行分解,直至所有被分解出的成分皆为最基本元素为止(所谓最基本元素,即为事先约定的可以直接参与计算的变量和运算符)。

在设计表达式分析程序时,首先要约定变量、运算符及子表达式定界符,笔者根据电器控制线路数学模型——逻辑代数方程的基本运算规则,以及有关电器元件文字符号的标准规定,约定以下一些字符串为合法的逻辑变量:

sb——手动按钮动合触点变量;nsb——手动按钮动断触点变量;

sq——行程开关动合触点变量;nsq——行程开关动断触点变量;

km——接触器线圈函数;

km——接触器动合触点变量;nkm——接触器动断触点变量;

k——中间继电器线圈函数;

k——中间继电器动合触点变量;nk——中间继电器动断触点变量;

kt——时间继电器线圈函数;

kt——时间继电器瞬时动合触点变量;nkt——时间继电器瞬时动断触点变量;

t——时间继电器延时动合触点变量;nt——时间继电器延时动断触点变量;

ya——电磁铁线圈函数,

约定在上述各逻辑函数及逻辑变量之后可附加0~9数字序号。约定“*”为逻辑“与”运算符,表示线路中的串联连接;“ ”为逻辑“或”运算符,表示线路中的并联连接;“=”为逻辑函数赋值符。约定“(”、“)”为子表达式的定界符。

2. 表达式分析的实现过程

设一电器控制线路原理图如图1所示,对应的逻辑关系表达式如下:

k = ( sb1 k ) * nsb2

其中sb1为k的起始信号,sb2为k的终止信号,k是元件k的自锁触点。当sb1出现时其逻辑值为“1”,在sb2没有出现之前sb2的逻辑值为“0”,nsb2即为“1”,故经逻辑运算k的逻辑值是“1”,即表示元件k得电,随即k的逻辑值由“0”变为“1”,表示自锁触点k自锁闭合。

对这样的逻辑函数表达式的分析过程是从“=”右侧字符串分解开始的,每分解出一个元素就要返回一个记号(称作token),这是表达式分解的核心过程,图2为求取表达式元素分解子程序(get_token)流程图,围绕元素分解过程构成的表达式分析程序(caculate)流程图如图3所示。

图2 表达式元素分解子程序(get_token)流程图

以前面图1为例,进入caculat程序后调用get_token函数,得到函数名k及“=”符号,以下顺序调用level2、level3、leve4子程,判断出得到的是“(”符号时,说明后面是一个子表达式,随即递归调用level2子程,且再依次进入level3、level4子程,这时可得出逻辑变量名sb1极其状态值。其后由level4返回到level3并调用get_token函数,得到“ ”运算符后返回。返回到level2后判断出“ ”运算符,即要调用get_token函数,得到变量名k及其状态值并执行逻辑或运算,将计算结果存入一暂存变量result中,然后从level2退出。这时会返回到level4子程中且调用get_token函数,得到“)”返回返回到level3子程。在level3中判断出为“*”运算符时调用get_token函数,得到 nsb2及其状态值后执行逻辑与运算,最终将计算结果返回到变量k中,结束表达式分析计算过程。

三、结束语

本文论述了电器控制线路在微机上模拟运行的核心问题——逻辑关系表达式的分解计算。设计这样一个应用软件,可以帮助设计者快速有效地检验设计结果、分析线路潜在问题,可以说是电器控制线路cad不可缺少的重要环节,同时也是cad技术大有可为的一个领域。

四、参考文献

逻辑电路的设计篇8

使用小规模集成门电路实现时,首先,对标准逻辑表达式进行化简,得到最简逻辑表达式,即逻辑表达式中相加的乘积项最少、每个乘积项的因子最少;然后,将最简逻辑表达式变换为与给定门电路相对应的形式。用逻辑代数或卡诺图化简得到三人表决最简逻辑表达式为。三种实现方法中,前两种门电路的个数都是4个,成本差不多,第三种用了8个门电路,成本要高一些。

2中规模集成电路实现三人表决

2.1用译码器实现译码器是一类多输入、多输出组合逻辑器件,n变量二进制译码器具有2n个输出变量,恰为n变量的最小项。任何组合逻辑电路都可用最小项之和的标准形式表示,因此,可用n变量二进制译码器和必要的门电路实现n输入变量逻辑电路。3~8线译码器74hc138输出低电平有效[8],用译码器74hc138和“与非”门可以实现三人表决电路。74hc138译码器输出的逻辑表达式为.

2.2用数据选择器实现数据选择器的输出端具有标准“与或”的形式。n选1数据选择器在选择输入控制下,从n个数据中选择某个数据送到输出端。采用n选1数据选择器可以实现任何输入变量数不大于n 1的组合逻辑电路。三人表决电路可选用4选1或者8选1数据选择器实现。

2.3用加法器实现加法器是产生数和的装置,分为半加器和全加器。若加数、被加数与低位的进位为输入,和数与进位为输出则为全加器。74ls283是超前进位四位二进制全加器[8],即所有各位的进位直接从最低位进位cin产生。

3rom和pla实现三人表决

只读存储器rom和可编程逻辑阵列pla都属于组合逻辑电路,都有一个与阵列和一个或阵列,但pla的与阵列和或阵列都是可编程的,而rom中与阵列是固定连接,只有或阵列可编程。rom中的与阵列是一个产生2n个输出的译码器,即产生2n个最小项(与阵列的输出mi)。用rom实现组合逻辑电路时,首先,将逻辑表达式表示成最小项之和的形式;然后,把逻辑表达式的输入作为rom的输入;最后,根据要实现的逻辑表达式对rom的或阵列进行编程,画出相应的阵列图。用rom实现三人表决的阵列图如图5(a)所示。用pla实现组合逻辑电路的方法与用rom实现非常相似。两者的区别在于,用rom实现是基于最小项表达式,而用pla实现是基于最简与或表达式,所以用pla实现组合逻辑比用rom实现更简单、灵活、经济。首先,将逻辑表达式化简为最简与或表达式;然后,根据最简表达式中的不同与项以及各与项之和分别对pla的与阵列和或阵列进行编程,画出阵列图。用pla实现三人表决如图5(b)所示。

4结束语

组合逻辑电路设计中,首先,根据给出的实际问题建立输入、输出变量,列出所有可能的输入、输出状态,即真值表;然后,根据真值表写出输入、输出的标准逻辑表达式,用逻辑代数或卡诺图根据设计要求化简、变换逻辑表达式;最后,根据化简、变换的逻辑表达式采用标准器件实现逻辑电路,力求所用器件数、器件的种类、器件之间的连线均最少。

逻辑电路的设计篇9

关键词: 数字电子技术 教材改革 工程应用

1.引言

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字电子技术、数字系统的高速发展,以fpga (field programmable gate array)和cpld(complex programmable logic device)为代表的大规模可编程逻辑器件(programmable logic device,pld)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

2.教材改革的基本思路

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加eda (electronic design automation)技术基础知识[3],利用multisim 软件对部分电路进行功能仿真,并介绍vhdl语言、quartusⅱ软件的基本使用方法,利用vhdl语言设计部分数字电路,利用quartusⅱ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

3.教材的主要特点

3.1 教材内容组织

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍eda技术的基础知识,包括multisim、vhdl语言、quartusⅱ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用vhdl语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用multisim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的vhdl语言设计方法。第五章介绍各种触发器的功能和应用,并利用multisim对触发器进行功能仿真,介绍触发器的vhdl语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用vhdl语言和multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍a/d转换和d/a转换的工作原理和主要技术指标,对集成dac和adc的基础知识及应用进行简单介绍,并利用multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

3.2强调基础理论

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。

在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

3.3突出实践应用

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。

在第九章“数/模和模/数转换器”中,以dac0808、dac 0832、ad7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出dac0832、ad7543与单片机at89c51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。

3.4增加eda技术知识

eda是电子设计自动化(electronic design automation)的缩写,是从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。教材第二章eda技术基础知识介绍了multisim和quartusⅱ两种eda工具的操作界面和使用方法,并介绍了vhdl语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助eda工具进行电路分析和设计。教材给出了74ls138、74ls153、74ls194、74ls160等常用集成电路的multisim仿真电路和vhdl描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合multisim和quartusⅱ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

4.结语

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

参考文献:

[1]陆冰,魏芸,闾燕,等.“数字电子技术”课程教学改革的实践[j].电气电子教学学,2013,35(4):46-47.

[2]宁改娣,杜亚利.教材:《数字电子技术》教材改革探索[j].教育教学论坛,2012(8):98-99.

[3]黎艺华,谢兰清.高职数字电子技术项目课程教材建设探索[j].教育与职业,2011(15):131-132.

[4]秦长海,张天鹏,翟亚芳.数字电子技术[m].北京大学出版社,2012.

逻辑电路的设计篇10

论文关键词:课程改革;工作任务;课程项目;技术情境;教学导航

随着我国科技和经济的迅猛发展,社会对人才的需求正在发生着深刻的变化,教育行业受到各方面的重视。在教育部和财政部实施的国家示范性院校建设政策鼓舞下,高等职业技术学院以服务为宗旨,以就业为导向,以培养高级应用型、技艺型人才为目标。这类人才主要是在不同行业、企业的工作和生产过程中负责管理、监督、检测、分析、技术服务等几项工作。因此,高等职业技术学院正进行较大规模的专业建设和课程改革,要求高职专业的学生除了具备必要的基础理论、专业技术知识外,还必须具有解决工作生产中实际问题的能力,以适应今后的工作。

“电子技术”分为模拟电子和数字电子两大部分,在教学中从职业岗位工作任务分析着手以掌握知识和技能为根本、以工作方向为培养目标、以工作过程为导向,强调把完整的工作过程及其操作要求作为课程内容。当工作过程导向课程运用项目载体设计学习情境时,这一工作过程实际上就成了完成具体项目的自始至终的步骤。通过课程分析和知识、能力、素质分析,打破传统的教学模式,构建了“以工作任务为中心、以课程项目为主体的教学方法”。在教学中掌握课程技术原理及应用方面知识体系的完整性是非常重要的,使学生在完整的工作过程中培养应对复杂技术情境的能力。在教学中以典型电子电路制作的工作任务为中心,以多模块应用为切入点,引入对学生创新能力的培养,让学生在具体应用电路的制作过程中开发创新思维,完成相应工作任务,并构建相关的理论知识,发展职业能力。

一、模拟电子技术教学导航

模拟电子技术是研究对仿真信号进行处理的模拟电路的学科。它以半导体二极管、半导体三极管和场效应管为关键电子器件,包括功率放大电路、运算放大电路、反馈放大电路、信号运算与处理电路、信号产生电路、电源稳压电路等研究方向。

理论知识:基本半导体知识、放大电路、集成运算放大电路、直流稳压电源。

技能训练:常用元件的识别与测量、放大电路性能分析、集成运算放大电路基本应用。

1.模块1:半导体器件

(1)知识重点:半导体基础知识;半导体二极管外部特性;晶体三极管外部特性。(2)知识难点:半导体pn结。(3)教学方式:从半导体pn结入手,简单介绍半导体的基本结构与工作原理。结合实践教学,重点掌握半导体的外部特性。(4)技能要求:二极管与三极管的简易测试。

2.模块2:放大电路

(1)知识重点:放大电路的基本组成;放大电路的分析;多级放大电路的极间耦合;负反馈对放大电路的性能的影响。(2)知识难点:放大电路的分析;放大电路的负反馈。(3)教学方式:从基本放大电路入手,介绍放大电路的静态与动态分析、多级放大、电路反馈;结合实践教学,重点掌握放大器的外部特性。(4)技能要求:放大电路静态工作点的调整与动态参数测试。

3.模块3:集成运算放大器

(1)知识重点:集成运放的结构和特点;基本运算电路;集成运放的线性应用电路。(2)知识难点:集成运放的线性应用电路。(3)教学方式:从理论集成运放条件入手,掌握各基本运算电路和电压比较器的功能;结合实践教学,重点掌握集成运放的外部特性。(4)技能要求:电路的调整与测试。

4.模块4:直流稳压电源

(1)知识重点:整流与滤波电路;稳压电路;开关电源。(2)知识难点:开关电源。(3)教学方式:从二极管整流特性、电容器充放电入手,讲解整流、滤波电路;稳压电源重点讲授集成稳压电路和开关电源。(4)技能要求:电路的调整与测试。

二、数字电子技术教学导航

数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能。随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。为了充分发挥和利用数字电路在信号处理上的强大功能,可以先将模拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后再将处理结果根据需要转换为相应的模拟信号输出。

理论知识:集成门电路与组合逻辑电路、时序逻辑电路、波形产生与整形电路、中规模集成电路应用。

技能训练:组合逻辑电路应用、时序逻辑电路应用、逻辑电路限定符号识图。

1.模块1:数字电路基础

(1)知识重点:数字脉冲信号;二进制与8421bcd码;基本函数与逻辑运算;逻辑函数的化简和变换。(2)知识难点:逻辑函数的化简和变换。(3)教学方式:从二进制与逻辑函数基本规则入手,学习逻辑运算规则、逻辑函数化简与变换。(4)技能要求:逻辑函数的化简和变换。

2.模块2:组合逻辑电路

(1)知识重点:基本逻辑符号及意义;门电路的逻辑功能和基本特性;组合逻辑电路的分析常用组合逻辑电路的逻辑功能。(2)知识难点:基本逻辑符号及意义;组合逻辑电路。(3)教学方式:从基本原理与逻辑符号读解入手,重点介绍电路的逻辑功能与外部特性。(4)技能要求:基本逻辑符号读图;门电路和组合逻辑电路。

3.模块3:触发器

(1)知识重点:各类触发器的逻辑功能;触发器限定符号及其意义。(2)知识难点:触发器之间的转换关系。(3)教学方式:借助限定符号意义读解,帮助理解各种触发器的逻辑功能与控制方式;结合实践教学,重点掌握电路的外特性。(4)技能要求:触发器的逻辑功能测试。

4.模块4:时序逻辑电路

(1)知识重点:时序逻辑电路的特点;时序逻辑电路的限定符号及其意义;寄存器;集成计数器应用。(2)知识难点:集成计数器应用;限定符号及其意义。(3)教学方式:从触发器入手,由d触发器构成寄存器;由t和tˊ触发器分别构成同步和异步二进制计数器。借助限定符号的意义来理解时序逻辑电路的逻辑功能。结合实践教学,重点掌握电路的外特性。(4)技能要求:常用的相关集成电路的应用。

5.模块5:波形产生与整形电路

(1)知识重点:555定时器;多谐振荡器与单稳态电路;施密特触发器;石英晶体振荡器。(2)知识难点:555定时器;多谐振荡器。(3)教学方式:以555定时器为重点,介绍多谐振荡器、单稳态电路和施密特触发器的功能。重点掌握电路的外特性。石英晶体振荡器从阻抗频率特性入手。(4)技能要求:常用的相关电路的应用入手。

三、电路组装、测量与调试教学导航

电子电路组装、测量与调试在电子工程技术中占有重要的地位,任何一个电子产品都是由设计焊接组装调试形成的,焊接是保证电子产品质量和可靠性最基本环节,调试是保证电子产品正常工作的最关键环节。

理论知识:常用电子仪表、电路的装配、调试与测量知识。

技能训练:常用电子测量仪表的使用、常用电路元件与数字集成电路测量、电路的装配与调试。

1.模块1:常用电子仪器知识重点

(1)知识重点:双踪示波器;半导体管特性图示仪;毫伏表;信号发生器;集成电路测试仪。(2)知识难点:双踪示波器;半导体管特性图示仪。(3)教学方式:重点讲授电子仪器的操作和使用方法。(4)技能要求:仪器的基本操作方法;半导体特性测量。

2.模块2:电子元器件的识别与简易测量

(1)知识重点:电子无源元器件;电子有源元器件;表面安装元器件。(2)知识难点:表面安装元器件。(3)教学方式:重点讲授各种电子元器件的识别与选用方法。(4)技能要求:元器件的识别与选用方法、常用数字集成电路测试。

3.模块3:电路的装配、调试与测量

(1)知识重点:装配、焊接工艺;电路测试与测量。(2)知识难点:电路测试。(3)教学方式:介绍电路装配工艺,分析电路测试与测量基本方法,结合实训进行教学。(4)技能要求:电路装配、测试与测量。

四、电子电路仿真教学导航

电路仿真技术是近十年来在电子技术研究领域的一场革命。设计人员利用计算机及其软件的强大功能,在电路模型上进行电路的性能分析和模拟实验,从而得到准确的结果,然后再付诸生产,极大地减少了实验周期和试制成本,提高了生产效率和经济效益,受到了电子生产厂家的一致欢迎。现在,电子仿真技术已成为电子工业领域不可缺少的先进技术,因此为了确保电路设计的成功,消除代价昂贵并且存在潜在危险的设计缺陷,就必须在设计流程的每个阶段进行周密地计划与评价。电路仿真给出了一个成本低、效率高的方法,能够在进入更为昂贵费时的原型开发阶段之前,找出问题所在。

理论知识:ewb与multisim平台基本知识,multisim在电子仿真实验中的应用。

技能训练:模拟电路电子仿真和数字电路电子仿真。

模块:电子电路仿真。

(1)知识重点:multisim平台的使用;multisim在电子仿真实验中的应用。(2)知识难点:multisim软件的使用。(3)教学方式:从电子实验实例入手,学习multisim软件的使用,在学会使用的基础上,结合电子知识,完成电子实验的仿真。(4)技能要求:用multisim进行电子仿真的方法。

五、综合实训项目——有源多媒体音箱的设计与制作

1.知识要求

掌握模拟电子技术和数字电子技术的综合应用思路;掌握电子产品综合设计的基本思路。

2.技能要求

能进行电子电路的综合制作调试;能有条理地撰写设计说明书;能对设计项目进行总结展示。

3.教学任务

通过有源多媒体音箱的设计、制作及测试,掌握电子产品的设计流程及注意事项,学会元器件的特性测试和电路组装、测试,熟悉电子产品组装的工艺要求及生产过程。

4.教学活动设计

(1)通过让学生利用图书馆、上网等手段查阅相关资料,在教师指导下对有源多媒体音箱进行设计,掌握电子产品的设计流程及注意事项。

(2)在校内生产线的工作岗位上,根据所设计电路选择元器件,进行元器件的性能、参数测试。规划电路板,进行元器件的布局和印制电路板的制作。完成各部分电路的焊接、组装,对已经组装的电子产品进行参数测试及调试,使其达到设计要求。

(3)要求学生撰写实践报告及产品说明书。

5.相关知识

(1)理论知识。元器件的识别、测试方法;印制电路板的制作,元器件的布局;焊接工艺、电路调试方法;产品说明书的撰写。